Advertisement
Australia markets open in 4 hours 45 minutes
  • ALL ORDS

    7,952.30
    +54.80 (+0.69%)
     
  • AUD/USD

    0.6624
    +0.0011 (+0.17%)
     
  • ASX 200

    7,682.40
    +53.40 (+0.70%)
     
  • OIL

    78.71
    +0.60 (+0.77%)
     
  • GOLD

    2,334.40
    +25.80 (+1.12%)
     
  • Bitcoin AUD

    95,259.89
    -1,302.61 (-1.35%)
     
  • CMC Crypto 200

    1,366.07
    +53.45 (+4.07%)
     

Korean Semiconductor Equipment Provider HPSP expands its R&D capabilities and study on High-Pressure Annealing and Oxidation

-       HPSP to build on existing high pressure annealing technology and set up new research and development programs to deepen their study in HPA process for various types of semiconductor devices and applications

SEOUL, South Korea, Jan. 17, 2024 /PRNewswire/ -- HPSP, a South Korean semiconductor equipment provider, is strengthening its research and development (R&D) programs regarding High-Pressure Annealing (HPA) and High Pressure Oxidation (HPO). With the upcoming grand opening of its new R&D center in Korea, HPSP has established new programs and tools with advanced capabilities to extend existing HPA and HPO technology beyond traditional process regimes. The addition of HPO and New HPA with the various gases builds on High Pressure Hydrogen Annealing (HPHA) which has become an essential step especially in advanced node.

HPSP and imec signed a Joint Development Project agreement to strengthen R&D on HPA and HPO at imec headquarters in Leuven, Belgium, on the 10th. Left: Luc Van den hove, President and CEO of imec, and Right: Kim Yong Woon, CEO of HPSP (PRNewsfoto/HPSP)
HPSP and imec signed a Joint Development Project agreement to strengthen R&D on HPA and HPO at imec headquarters in Leuven, Belgium, on the 10th. Left: Luc Van den hove, President and CEO of imec, and Right: Kim Yong Woon, CEO of HPSP (PRNewsfoto/HPSP)

High Pressure Hydrogen Annealing (HPHA) is a process for improving the reliability and performance of semiconductor devices by curing defects in semiconductor devices, applicable in various fields. Given that the scale down of semiconductor manufacturing is becoming more and more intensive and the thermal budget is becoming tighter, the use of conventional annealing equipment or high temperature annealing equipment in semiconductor annealing process is becoming more and more difficult. Therefore, HPSP technology, which enables annealing at a lower temperature with high pressure, has become an essential step in the front-end semiconductor manufacturing process, especially in the advanced node. In addition, HPO and HPA with the various gases can improve the quality of many advanced films, which could further enhance the performance of future devices.

ADVERTISEMENT

To accelerate the development of New High Pressure applications, HPSP is extending its Joint Development Project (JDP) with imec. The signing ceremony for the new JDP took place on January 10 at imec's headquarters in Leuven, Belgium, and was attended by key executives and officials from HPSP and imec. "We look forward to the next chapter of exploring the application of HPA in the future semiconductor manufacturing process within imec's proven and advanced research programs", said Kim Yong Woon, CEO of HPSP.

As the world's first and only company to develop and manufacture high-pressure hydrogen-annealing equipment for the semiconductor manufacturing, HPSP has been jointly researching with imec since 2015 to explore the key benefits of HPA on various devices. HPA has been successfully applied in the semiconductor manufacturing of imec's core-partners and has already demonstrated significant performance improvements in various devices used to manufacture FinFET, GAA, and Memory devices such as the most advanced DRAM and 3D NAND.

HPSP will conduct advanced research on the effects of HPA and HPO in a variety of cutting-edge devices such as CFET and 3D Memory devices, and proactively work with its customers and imec on the applications of HPA and HPO in the next-generation semiconductor manufacturing process, expand business opportunities by participating in the development of semiconductor manufacturers' next-generation processes, and at the same time solidify HPSP's status as a trustworthy partner to its customers.

Through collaborative research with its customers and imec, which partners with top tier semiconductor manufacturers, HPSP expects to strengthen its reputation as an industry-leading semiconductor equipment provider and reaffirm its technical advantages and competitiveness in the global market. HPSP believes that this will pave the way for chip makers to enhance the performance and reliability of their semiconductor devices and bring cutting-edge products to the market.

About HPSP

HPSP is a supplier of semiconductor high-pressure hydrogen annealing equipment. HPSP has become a trustworthy partner of world-leading semiconductor companies for providing up-to-date technology essential to making optimal chips for high-tech electronic devices. HPSP is also the world's first company to commercialize high-pressure hydrogen annealing technology, which only existed in theory and remains the only provider in the world. HPSP is building its expertise around front-end semiconductor processes based on innovative solutions and high reliability. Moreover, HPSP is conducting significant joint development projects with prominent research institutes around the globe to leap towards becoming a top 10 global semiconductor manufacturing equipment provider. For more information, please visit https://thehpsp.com.

For media inquiries, please contact:

Ian Cho, HPSP IR Manager
ian.cho@thehpsp.com

Park Pil-Jae, HPSP CFO
pj.park@thehpsp.com

Cision
Cision

View original content to download multimedia:https://www.prnewswire.com/news-releases/korean-semiconductor-equipment-provider-hpsp-expands-its-rd-capabilities-and-study-on-high-pressure-annealing-and-oxidation-302036724.html

SOURCE HPSP