Advertisement
Australia markets closed
  • ALL ORDS

    7,817.40
    -81.50 (-1.03%)
     
  • ASX 200

    7,567.30
    -74.80 (-0.98%)
     
  • AUD/USD

    0.6419
    -0.0006 (-0.10%)
     
  • OIL

    83.34
    +0.61 (+0.74%)
     
  • GOLD

    2,404.10
    +6.10 (+0.25%)
     
  • Bitcoin AUD

    99,350.91
    +433.37 (+0.44%)
     
  • CMC Crypto 200

    1,380.72
    +68.10 (+5.19%)
     
  • AUD/EUR

    0.6019
    -0.0011 (-0.19%)
     
  • AUD/NZD

    1.0898
    +0.0023 (+0.22%)
     
  • NZX 50

    11,796.21
    -39.83 (-0.34%)
     
  • NASDAQ

    17,037.65
    -356.67 (-2.05%)
     
  • FTSE

    7,895.85
    +18.80 (+0.24%)
     
  • Dow Jones

    37,986.40
    +211.02 (+0.56%)
     
  • DAX

    17,737.36
    -100.04 (-0.56%)
     
  • Hang Seng

    16,224.14
    -161.73 (-0.99%)
     
  • NIKKEI 225

    37,068.35
    -1,011.35 (-2.66%)
     

ACM Research Announces Order for SAPS Evaluation Tool from Major Global Semiconductor Manufacturer

FREMONT, Calif., Oct. 18, 2021 (GLOBE NEWSWIRE) -- ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced the receipt of an evaluation tool order for its Ultra C SAPS frontside cleaning tool from a major global semiconductor manufacturer. The tool is expected to be installed in the prospective customer’s China-based development fab in the first quarter of 2022.

“This order represents a great opportunity for ACM from a global semiconductor company with operations in China,” said Dr. David Wang, President and Chief Executive Officer of ACM Research. “The manufacturer chose to evaluate ACM’s SAPS technology to assess its ability to enhance the manufacturer’s R&D capability and production processes. We believe a successful evaluation of this tool could lead to larger business opportunities with this customer and other major customers in the region.”

ACM’s proprietary Space Alternated Phase Shift (SAPS) advanced wafer cleaning technology employs alternating phases of megasonic waves in the gap between a megasonic transducer and the wafer. Unlike the stationary megasonic transducers used in previous generations of megasonic wafer cleaning systems, SAPS technology moves or tilts the transducer while the wafer rotates, enabling megasonic energy to be delivered uniformly across all points on the wafer, even if the wafer is warped. SAPS is a faster process than conventional megasonic cleaning, does not experience material loss or create a rough wafer surface. SAPS achieves more thorough, comprehensive cleaning without damage to device features and has been demonstrated to 10nm and beyond.

About ACM Research, Inc.
ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and thermal processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

ADVERTISEMENT

SAPS, ULTRA C SAPS and the ACM logo are trademarks of ACM Research, Inc. All rights reserved.

Media Contact:

Company Contacts:

Jillian Carapella

USA

Kiterocket

Sally-Ann Henry

+1 646.402.2408

ACM Research, Inc.

jcarapella@kiterocket.com

+1 510.445.3700

China

Xi Wang

ACM Research (Shanghai), Inc.

+86 21 50808868

Korea

YY Kim

ACM Research (Korea), Inc.

+821041415171

Taiwan

David Chang

+886 921999884

Singapore

Adrian Ong

+65 8813-1107